虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 本代码是在modelsim下运行的模拟8×8位的CPU

本代码是在modelsim下运行的模拟8×8位的CPU

  • 资源大小:21 K
  • 上传时间: 2013-12-12
  • 上传用户:liuhai
  • 资源积分:2 下载积分
  • 标      签: modelsim CPU 代码 8位

资 源 简 介

本代码是在modelsim下运行的模拟8×8位的CPU,执行程度,对深入理解CPU设计和运行原理具有重要意义

相 关 资 源

您 可 能 感 兴 趣 的