⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 test_alu.v

📁 本代码是在modelsim下运行的模拟8×8位的CPU
💻 V
字号:
  `timescale 1ns/10ps
module testbech_ALU;
   wire ALU_C,C_in;
   wire [7:0] ALU_O,AC_in,GR_in;
   wire [4:0] ALU_OP;

   ALU  a  (ALU_O,ALU_C,C_in,ALU_OP,AC_in,GR_in);
   test t  (ALU_O,ALU_C,C_in,ALU_OP,AC_in,GR_in);
endmodule

module test(ALU_O,ALU_C,C_in,ALU_OP,AC_in,GR_in);
  parameter width=8;

  input ALU_C;              //??ALU??????????
  input [width-1:0] ALU_O;  //??ALU??????????
  output  C_in;               //??C??????????
  output  [4:0] ALU_OP;         //???
  output  [width-1:0] AC_in;   //???????AC???
  output  [width-1:0] GR_in;   //???????GR???
  reg  [width-1:0] AC_in;
  reg  [width-1:0] GR_in; 
  reg    C_in;
  reg   [4:0] ALU_OP;

 initial begin
     $monitor($time,,,"ALU_O=%b,ALU_C=%b,C_in=%b,ALU_OP=%b,AC_in=%b,GR_in=%b",ALU_O,ALU_C,C_in,ALU_OP,AC_in,GR_in);//????
     

     
     #5 ALU_OP=5'b00010;AC_in=8'b11010101;C_in=1;      //??AC?????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});

     #5 ALU_OP=5'b00011;C_in=0;GR_in=8'b11010100;      //??GR?????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O}); 

     #5 ALU_OP=5'b00100;AC_in=8'b01010101;GR_in=8'b11010101;//??
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O}); 

     #5 ALU_OP=5'b00101;AC_in=8'b11010101;GR_in=8'b11010101;//??
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});

     #5 ALU_OP=5'b00110;AC_in=8'b01010101;GR_in=8'b11010101;C_in=1;//?????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});

     #5 ALU_OP=5'b00111;AC_in=8'b11010101;GR_in=8'b11010101;C_in=0;//?????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});
     
     #5 ALU_OP=5'b01000;AC_in=8'b11010101;GR_in=8'b11010101;C_in=0;//????????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});
     
     #5 ALU_OP=5'b01001;AC_in=8'b11010101;GR_in=8'b11010101;C_in=0;//?????????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});
     
     #5 ALU_OP=5'b01010;AC_in=8'b11010101;GR_in=8'b11010101;C_in=0;//????????????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});
     
     #5 ALU_OP=5'b01011;AC_in=8'b11010101;GR_in=8'b11010101;C_in=0; //????????????
     #5 $display("{ALU_C,ALU_O}=%b",{ALU_C,ALU_O});
         
  end
endmodule  

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -