虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 其他 > 使用vhdl编写的一段程序。 主要功能是声音周期计算

使用vhdl编写的一段程序。 主要功能是声音周期计算

  • 资源大小:11 K
  • 上传时间: 2015-07-01
  • 上传用户:shanyeshuren
  • 资源积分:2 下载积分
  • 标      签: vhdl 编写 程序 周期

资 源 简 介

使用vhdl编写的一段程序。 主要功能是声音周期计算,以区别声音信号与噪音信号。

相 关 资 源