虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个用verilog实现的fpga上的uart接口模块

一个用verilog实现的fpga上的uart接口模块

  • 资源大小:15 K
  • 上传时间: 2014-07-19
  • 上传用户:qw4104
  • 资源积分:2 下载积分
  • 标      签: verilog fpga uart 接口模块

资 源 简 介

一个用verilog实现的fpga上的uart接口模块,包括测试模块和实体,并实现了输出接口和状态接口。

相 关 资 源

您 可 能 感 兴 趣 的