虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确

hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确

  • 资源大小:4 K
  • 上传时间: 2016-05-15
  • 上传用户:xushenghao001
  • 资源积分:2 下载积分
  • 标      签: maxplusII hDB3 编解码 模块

资 源 简 介

hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确

相 关 资 源