虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核

这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核

  • 资源大小:7 K
  • 上传时间: 2015-04-27
  • 上传用户:yl810406
  • 资源积分:2 下载积分
  • 标      签: VHDL I2C 串行数据 IP核

资 源 简 介

这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行

相 关 资 源