虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 采用VHDL语言设计了一个打铃系统。该系统已经调试

采用VHDL语言设计了一个打铃系统。该系统已经调试

  • 资源大小:6 K
  • 上传时间: 2017-03-04
  • 上传用户:kuoiai
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 打铃

资 源 简 介

采用VHDL语言设计了一个打铃系统。该系统已经调试,可适当参考。

相 关 资 源