虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品

adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品

  • 资源大小:4 K
  • 上传时间: 2016-08-15
  • 上传用户:richard_li_li
  • 资源积分:2 下载积分
  • 标      签: verilog adc sigma-delta 编写

资 源 简 介

adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品

相 关 资 源