虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块

这个是基于NIOS II的FPGA平台的一个CF卡的接口模块

  • 资源大小:391 K
  • 上传时间: 2015-05-04
  • 上传用户:tiger452
  • 资源积分:2 下载积分
  • 标      签: NIOS FPGA 接口模块

资 源 简 介

这个是基于NIOS II的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包

相 关 资 源