⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 bb_list.txt

📁 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块
💻 TXT
字号:
alt3pram
altaccumulate
altcam
altcdr_rx
altcdr_tx
altclklock
altddio_bidir
altddio_in
altddio_out
altdpram
altfp_mult
altlvds_rx
altlvds_tx
altmult_accum
altmult_add
altpll
altqpram
altshift_taps
altsqrt
altsyncram
alt_exc_dpram
alt_exc_upcore
dcfifo
dffpipe
lpm_abs
lpm_add_sub
lpm_bustri
lpm_clshift
lpm_constant
lpm_counter
lpm_decode
lpm_divide
lpm_ff
lpm_fifo
lpm_fifo_dc
lpm_inv
lpm_latch
lpm_mult
lpm_ram_dp
lpm_ram_dq
lpm_ram_io
lpm_rom
lpm_shiftreg
scfifo
altgxb
parallel_add
lpm_mux
lpm_and
lpm_or
lpm_xor
lpm_compare
ddr_sdram_auk_ddr_datapath

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -