虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 基于VHDL的电子钟 实现一个简单的电子钟

基于VHDL的电子钟 实现一个简单的电子钟

  • 资源大小:339 K
  • 上传时间: 2013-11-30
  • 上传用户:wql507
  • 资源积分:2 下载积分
  • 标      签: VHDL 电子钟

资 源 简 介

基于VHDL的电子钟 实现一个简单的电子钟,可显示其时间时分秒和年月日,通过按键交替显示

相 关 资 源