代码搜索结果

找到约 16,374 项符合 Op-Amp 的代码

test_alu.v

module test_alu; reg[31:0] ina,inb; reg[3:0] op; wire[31:0] out; wire cout,overflow,zero; integer i; alu32 u1(ina,inb,op,out,cout,overflow,zero); always begin

test1.v

module test1; reg ina,inb,cin; reg [3:0] op; wire out; alu u1(ina,inb,op,'b0,cin,out); initial begin $monitor("test",$time,,, "op=%b,a=%b,b=%b,result=%b",op,ina,inb,out);

test_flagpro.v

module test_flagpro; reg sign,overflowalu,overflowmult,op,op2,aluop,multresH,aluresH,divresH,dif,aluzero,multzero,divzero; wire overflow,zero,pn; flagpro flag1(sign,overflowalu,overflowmult,op,op2,alu

test_alucontrl.v

module test_alucontrl; reg [31:0] op; wire [1:0] aluOp , outcalc; wire ina , inb , writein , shiftsign, extend , luisign , sign , signslt; wire [3:0] aluCtrl ; alucontrl u1( op , ina , inb , aluOp

test8.v

module test8; reg [7:0] ina,inb,less,cin; reg [3:0] op; wire [7:0] out; wire cout; integer i; alu8 u1(ina,inb,op,less,cin,out,cout); initial begin $monitor("test",$time,,,

test_arithmetic.v

module test_arithmetic; reg [31:0] op; reg clock; wire [31:0] result; wire overflow,zero,pn; arithmetic calca(op,clock,result,overflow,zero,pn); always begin #25 clock=~clock;end initial begin

alucontrl.v

module alucontrl( op , ina , inb , aluOp , aluCtrl , writein , outcalc , shiftsign , extend , luisign , sign , signslt); //???????? //控制信号生成单元 input [31:0] op ;//输入的32位的机器指令 output [1:0] aluOp, out

alu32.v

module alu32(ina,inb,op,out,cout,overflow,zero);//32bit的ALU单元 input [31:0] ina,inb;//输入信号,包括两个32bit的数 input [3:0] op;//ALU控制信号 output [31:0] out;//输出信号,一个32bit的结果 output cout,overflow,zero;//输出的标志位 wi

flagpro.v

module flagpro(sign,overflowalu,overflowmult,op,op2,aluop,multresH,aluresH,divresH,dif,overflow,aluzero,multzero,divzero,shiftzero,zero,pn); //标志位计算单元,在我们的设计中,设立了三个标志位,分别是overflow(溢出),zero(是否为0)和pn(符号

alu.v

module alu(ina,inb,op,less,cin,out,set);//1bit的ALU单元 input ina,inb,less,cin;//输入信号,包括两个输入口ina,inb,less信号以及低位进位信号 input [3:0] op;//4bit的ALU控制信号 output out,set;//输出out为结果,set为加法器的结果,作小于则置1时用 reg a,b,out