虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言设计简单的CPU

用VHDL语言设计简单的CPU

  • 资源大小:1240 K
  • 上传时间: 2014-02-22
  • 上传用户:cjf0426
  • 资源积分:2 下载积分
  • 标      签: VHDL CPU 语言

资 源 简 介

用VHDL语言设计简单的CPU,重点设计微操作代码,然后设计CPU各组成模块,最后根据设计的微操作设计微指令,验证设计的正确性。可基本实现加、减、乘、除、移位、循环等操作。

相 关 资 源