虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 该项目可在VGA显示器上显示RAM或ROM中的十六进制数据

该项目可在VGA显示器上显示RAM或ROM中的十六进制数据

  • 资源大小:19 K
  • 上传时间: 2017-02-27
  • 上传用户:smellteen
  • 资源积分:2 下载积分
  • 标      签: VGA 项目 显示器 十六进制

资 源 简 介

该项目可在VGA显示器上显示RAM或ROM中的十六进制数据,使用VerilogHDL语言编写,在QuartusII开发环境下验证。

相 关 资 源

您 可 能 感 兴 趣 的