虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 这是一个4位全加器

这是一个4位全加器

  • 资源大小:96 K
  • 上传时间: 2016-04-30
  • 上传用户:zxj334
  • 资源积分:2 下载积分
  • 标      签: 全加器

资 源 简 介

这是一个4位全加器,用一个1位半价做的一位全加,然后做成的四位半加。

相 关 资 源

您 可 能 感 兴 趣 的