虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用max+plusII编写的vhdl程序 乒乓球游戏机

用max+plusII编写的vhdl程序 乒乓球游戏机

  • 资源大小:15 K
  • 上传时间: 2015-07-19
  • 上传用户:gsxyndll
  • 资源积分:2 下载积分
  • 标      签: plusII vhdl max 编写

资 源 简 介

用max+plusII编写的vhdl程序 乒乓球游戏机

相 关 资 源