虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言实现8421码的十进制计数器

用VHDL语言实现8421码的十进制计数器

  • 资源大小:170 K
  • 上传时间: 2013-12-08
  • 上传用户:linux_open_lab
  • 资源积分:2 下载积分
  • 标      签: VHDL 8421 语言 十进制计数器

资 源 简 介

用VHDL语言实现8421码的十进制计数器,状态变化0000->0001->0010->0011->0100->0101->0110->0111->1000->0000.循环往复。

相 关 资 源

您 可 能 感 兴 趣 的