虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据

RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据

  • 资源大小:3 K
  • 上传时间: 2013-12-23
  • 上传用户:pangbo888
  • 资源积分:2 下载积分
  • 标      签: verilog RTL HDL 数据传送

资 源 简 介

RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据,执行操作后异步每比特输出。

相 关 资 源