虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 使用VHDL语言描述的单精度浮点处理器。源代码来自国外网站。可实现单精度浮点数的加减乘运算。

使用VHDL语言描述的单精度浮点处理器。源代码来自国外网站。可实现单精度浮点数的加减乘运算。

  • 资源大小:17 K
  • 上传时间: 2016-05-04
  • 上传用户:Jonson_zhu
  • 资源积分:2 下载积分
  • 标      签: VHDL 精度 语言 浮点处理器

资 源 简 介

使用VHDL语言描述的单精度浮点处理器。源代码来自国外网站。可实现单精度浮点数的加减乘运算。

相 关 资 源