虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效

VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效

资 源 简 介

VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效,清零端为clrn,进位输出为co。

相 关 资 源