虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 在显示器上显示汉字,在FPGA上实现,使用Verilog HDL 设计,完全可是直接使用

在显示器上显示汉字,在FPGA上实现,使用Verilog HDL 设计,完全可是直接使用

  • 资源大小:15 K
  • 上传时间: 2014-09-04
  • 上传用户:lujing200912345
  • 资源积分:2 下载积分
  • 标      签: Verilog FPGA HDL 显示器

资 源 简 介

在显示器上显示汉字,在FPGA上实现,使用Verilog HDL 设计,完全可是直接使用

相 关 资 源

您 可 能 感 兴 趣 的