虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算

一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算

  • 资源大小:220 K
  • 上传时间: 2013-12-17
  • 上传用户:buptbaishikele
  • 资源积分:2 下载积分
  • 标      签: 二进制 运算器 控制

资 源 简 介

一个四位二进制加/减运算器。 要求:当控制端G=0时做加运算,G=1时做减运算。用发光二极管表示运算结果的正、负。用数码管显示运算结果:加运算时,相加之和不超过15,减运算时,结果可正可负,但都用原码表示。

相 关 资 源