虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码

一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码

  • 资源大小:2 K
  • 上传时间: 2014-01-18
  • 上传用户:dan_che
  • 资源积分:2 下载积分
  • 标      签: VerilogHDL booth 语言 算法

资 源 简 介

一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码

相 关 资 源

您 可 能 感 兴 趣 的