⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 booth_tb.tf

📁 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码
💻 TF
字号:


module testbench();

// Inputs
    reg [15:0] A;
    reg [15:0] B;


// Outputs
    wire [31:0] P;

// Instantiate the UUT
    booth uut (.A(A), .B(B), .P(P));

initial
    $monitor($time, " A = %d  B = %d   P=", A, B, P);

initial begin
   #100 begin A = 25; B=25; end
   #100 begin A = 1; B=-200; end
   #100 begin A = 250; B=1; end
   #100 begin A = -120; B=-100; end
   #100 begin A = 125; B=0; end
   #100 begin A = -35; B=428; end
   #100 begin A = 255; B=177; end
end

//Finish the simulation at time 800
initial begin
    #800 $finish;
end
endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -