虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。

采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。

  • 资源大小:121 K
  • 上传时间: 2013-11-25
  • 上传用户:wuliaowenti
  • 资源积分:2 下载积分
  • 标      签: PLJ vhd 等精度测频 程序

资 源 简 介

采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。

相 关 资 源

您 可 能 感 兴 趣 的