虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示

数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示

  • 资源大小:99 K
  • 上传时间: 2016-11-20
  • 上传用户:leeixndong
  • 资源积分:2 下载积分
  • 标      签: VHDL plj vhd 数字频率计

资 源 简 介

数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。

相 关 资 源

您 可 能 感 兴 趣 的