虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL写的源代码程序

用VHDL写的源代码程序

  • 资源大小:3 K
  • 上传时间: 2016-10-28
  • 上传用户:shanon
  • 资源积分:2 下载积分
  • 标      签: VHDL 源代码 程序

资 源 简 介

用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。

相 关 资 源