虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > VHDL实现倍频--偶数倍 分频电路 --分频倍数=2(n+1)

VHDL实现倍频--偶数倍 分频电路 --分频倍数=2(n+1)

  • 资源大小:143 K
  • 上传时间: 2013-12-11
  • 上传用户:rickie936
  • 资源积分:2 下载积分
  • 标      签: VHDL 倍频 分频电路

资 源 简 介

VHDL实现倍频--偶数倍 分频电路 --分频倍数=2(n+1)

相 关 资 源