虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 任意分频的vhdl实现

任意分频的vhdl实现

  • 资源大小:2 K
  • 上传时间: 2016-05-14
  • 上传用户:syxie
  • 资源积分:2 下载积分
  • 标      签: vhdl 分频

资 源 简 介

任意分频的vhdl实现,若需要具体参数,只需改变程序中的分频参数即可实现。

相 关 资 源