虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL写的数字锁相环程序 pll.vhd为源文件 pllTB.vhd为testbench

用VHDL写的数字锁相环程序 pll.vhd为源文件 pllTB.vhd为testbench

  • 资源大小:110 K
  • 上传时间: 2014-01-20
  • 上传用户:guigong
  • 资源积分:2 下载积分
  • 标      签: vhd testbench pllTB VHDL

资 源 简 介

用VHDL写的数字锁相环程序 pll.vhd为源文件 pllTB.vhd为testbench

相 关 资 源