虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

TESTBENCH-xilinx

  • 基于OpenBus系统的FPGA嵌入式设计与实现

    随着FPGA技术的发展,FPGA设计已不再只是硬件电路的设计,而是包含处理器、外围组件和接口逻辑在内的完整数字系统,同时在处理器中编程完成嵌入式代码的FPGA“软”设计。与传统的主要基于硬件描述语言进行FPGA设计开发不同,本文在电路设计软件Altium Designer开发环境下,结合Xilinx公司的ISE设计软件,在Altium Designer的创新电子设计平台NanoBoard 3000上,设计实现了基于Altium Designer特有的系统级设计方法OpenBus系统的32位处理器控制LED的FPGA嵌入式设计。

    标签: OpenBus FPGA 嵌入式设计

    上传时间: 2013-11-09

    上传用户:亚亚娟娟123

  • 用于Xilinx FPGA的Maxim参考设计

    MAX8686 25A Buck稳压器

    标签: Xilinx Maxim FPGA 参考设计

    上传时间: 2013-11-15

    上传用户:旭521

  • 基于AXI总线的MicroBlaze双核SoPC系统设计

    目的是利用嵌入在Xilinx FPGA中的MicroBlaze核实现基于AXI总线的双核嵌入式系统设计以及共享实现LED灯的时控.

    标签: MicroBlaze SoPC AXI 总线

    上传时间: 2014-12-30

    上传用户:stewart·

  • XAPP996-双处理器参考设计套件

    This is the Xilinx Dual Processor Reference Designs suite. The designs illustrate a few differentdual-core architectures based on the MicroBlaze™ and PowerPC™ processors. The designsillustrate various concepts described in the Xilinx White Paper WP262 titled, “DesigningMultiprocessor Systems in Platform Studio”. There are simple software applications includedwith the reference designs that show various forms of interaction between the two processors.

    标签: XAPP 996 双处理器 参考设计

    上传时间: 2013-10-29

    上传用户:旭521

  • 基于Virtex5的PCI接口电路

    PCI Express是由Intel,Dell,Compaq,IBM,Microsoft等PCI SIG联合成立的Arapahoe Work Group共同草拟并推举成取代PCI总线标准的下一代标准。PCI Express利用串行的连接特点能轻松将数据传输速度提到一个很高的频率,达到远远超出PCI总线的传输速率。一个PCI Express连接可以被配置成x1,x2,x4,x8,x12,x16和x32的数据带宽。x1的通道能实现单向312.5 MB/s(2.5 Gb/s)的传输速率。Xilinx公司的Virtex5系列FPGA芯片内嵌PCI-ExpressEndpoint Block硬核,为实现单片可配置PCI-Express总线解决方案提供了可能。  本文在研究PCI-Express接口协议和PCI-Express Endpoint Block硬核的基础上,使用Virtex5LXT50 FPGA芯片设计PCI Express接口硬件电路,实现PCI-Express数据传输

    标签: Virtex5 PCI 接口电路

    上传时间: 2013-12-27

    上传用户:wtrl

  • 无线通信FPGA设计_田耘

    《无线通信FPGA设计》以Xilinx公司的FPGA开发平台为基础,综合FPGA和无线通信技术两个方向,通过大量的FPGA开发实例,较为详尽地描述了无线通信中常用模块的原理和实现流程,包括数字信号处理基础、数字滤波器、多速率信号处理、数字调制与解调、信道编码、系统同步、自适应滤波算法、最佳接收机,以及WCDMA系统的关键技术。

    标签: FPGA 无线通信

    上传时间: 2014-01-23

    上传用户:kernaling

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • 如何仿真IP核(建立modelsim仿真库完整解析)

      IP核生成文件:(Xilinx/Altera 同)   IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则asyn_fifo.veo 给出了例化该核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库的模块,仿真时该文件也要加入工程。(在 ISE中点中该核,在对应的 processes 窗口中运行“ View Verilog Functional Model ”即可查看该 .v 文件)。如下图所示。

    标签: modelsim 仿真 IP核 仿真库

    上传时间: 2013-10-20

    上传用户:lingfei

  • Altera Modelsim学习笔记

      我近期计划陆续整理出以下几个方面的学习笔记:初学 ModelSimSE 时被迷糊了几天的若干概念;在 ModelSimSE 中添加 ALTERA 仿真库的详细步骤;用 ModelSimSE 进行功能仿真和时序仿真的方法(ALTERA 篇);ModelSimSE 中常用到的几个命令及 DO文件的学习笔记;近来学到的几招 TestBench 的技巧

    标签: Modelsim Altera

    上传时间: 2013-11-05

    上传用户:lou45566

  • 基于Xilinx FPGA的多分辨率频谱分析仪设计

      频谱分析仪的主要工作原理   接收到的中频模拟信号经过A/D转换为14位的数字信 号,首先对数字信号进行数字下变频(DDC),得到I路、Q路信号,然后根据控制信号对I路、Q路信号进行抽取滤波,使用CIC抽取滤波器完成,然后在分 别对I路、Q路信号分别进行低通滤波,滤波器采用FIR滤波器和半带滤波器相结合的方式,然后对信号进行加窗、FFT(对频谱进行分析时进行FFT运算, 对功率谱进行分析时不进行FFT运算)、I路和Q路平方求和、求平均。最后将输出的数据送入到DSP中进行显示与控制的后续处理。

    标签: Xilinx FPGA 多分辨率 频谱分析仪

    上传时间: 2013-11-14

    上传用户:leixinzhuo