虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > C/C++语言编程 > lcd计数显示程序

lcd计数显示程序

  • 资源大小:5 K
  • 上传时间: 2013-10-30
  • 上传用户:gdmichael
  • 资源积分:2 下载积分
  • 标      签: lcd 计数显示 程序

资 源 简 介

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity counter is
    Port ( clk : in std_logic;
     resetn : in std_logic;
           dout : out std_logic_vector(7 downto 0);
           lcd_en : out std_logic;
           lcd_rs : out std_logic;
           lcd_rw   : out std_logic);
end counter;

相 关 资 源