虫虫首页|资源下载|资源专辑|精品软件
登录|注册

PICOBLAZE

  • 基于FPGA的恒温晶振频率校准系统的设计

    为满足三维大地电磁勘探技术对多个采集站的同步需求,基于FPGA设计了一种晶振频率校准系统。系统可以调节各采集站的恒温压控晶体振荡器同步于GPS,从而使晶振能够输出高准确度和稳定度的同步信号。系统中使用FPGA设计了高分辨率的时间间隔测量单元,达到0.121 ns的测量分辨率,能对晶振分频信号与GPS秒脉冲信号的时间间隔进行高精度测量,缩短了频率校准时间。同时在FPGA内部使用PICOBLAZE嵌入式软核处理器监控系统状态,并配合滑动平均滤波法对测量得到的时间间隔数据实时处理,有效地抑制了GPS秒脉冲波动对频率校准的影响。

    标签: FPGA 恒温晶振 频率校准

    上传时间: 2013-10-16

    上传用户:xsnjzljj

  • Create a 1-Wire Master with Xilinx PICOBLAZE

    Abstract: Designers who must interface 1-Wire temperature sensors with Xilinx field-programmable gate arrays(FPGAs) can use this reference design to drive a DS28EA00 1-Wire slave device. The downloadable softwarementioned in this document can also be used as a starting point to connect other 1-Wire slave devices. The systemimplements a 1-Wire master connected to a UART and outputs temperature to a PC from the DS28EA00 temperaturesensor. In addition, high/low alarm outputs are displayed from the DS28EA00 PIO pins using LEDs.

    标签: PICOBLAZE Create Master Xilinx

    上传时间: 2013-11-12

    上传用户:大三三

  • EDA原理及VHDL实现(何宾教授)

      第1章 数字系统EDA设计概论   第2章 可编程逻辑器件设计方法   第3章 VHDL语言基础   第4章 数字逻辑单元设计   第5章 数字系统高级设计技术(*)   第6章 基于HDL设计输入   第7章 基于原理图设计输入   第8章 设计综合和行为仿真   第9章 设计实现和时序仿真   第10章 设计下载和调试   第11章 数字时钟设计及实现(*)   第12章 通用异步接收发送器设计及实现(*)   第13章 数字电压表设计及实现(*)   第14章 软核处理器PICOBLAZE原理及应用(*)   注:带*的内容可根据课时的安排选讲

    标签: VHDL EDA

    上传时间: 2013-11-01

    上传用户:atdawn

  • 基于FPGA的恒温晶振频率校准系统的设计

    为满足三维大地电磁勘探技术对多个采集站的同步需求,基于FPGA设计了一种晶振频率校准系统。系统可以调节各采集站的恒温压控晶体振荡器同步于GPS,从而使晶振能够输出高准确度和稳定度的同步信号。系统中使用FPGA设计了高分辨率的时间间隔测量单元,达到0.121 ns的测量分辨率,能对晶振分频信号与GPS秒脉冲信号的时间间隔进行高精度测量,缩短了频率校准时间。同时在FPGA内部使用PICOBLAZE嵌入式软核处理器监控系统状态,并配合滑动平均滤波法对测量得到的时间间隔数据实时处理,有效地抑制了GPS秒脉冲波动对频率校准的影响。

    标签: FPGA 恒温晶振 频率校准

    上传时间: 2013-11-16

    上传用户:www240697738

  • 环境ISE

    环境ISE,用PICOBLAZE微控制器实现了对DAC控制,完成DA转换功能

    标签: ISE 环境

    上传时间: 2014-01-23

    上传用户:杜莹12345

  • PacoBlaze is a from-scratch synthesizable & behavioral Verilog clone of Ken Chapman s popular PicoB

    PacoBlaze is a from-scratch synthesizable & behavioral Verilog clone of Ken Chapman s popular PICOBLAZE embedded microcontroller. by Pablo Bleyer Kocik

    标签: synthesizable from-scratch behavioral PacoBlaze

    上传时间: 2013-12-09

    上传用户:hphh

  • verilog语言编写

    verilog语言编写,ISE8.2开发的,基于8位cpu PICOBLAZE的程序

    标签: verilog 语言 编写

    上传时间: 2016-05-01

    上传用户:1079836864

  • 在SPARTAN 3E开发平台上

    在SPARTAN 3E开发平台上,利用其板子上一些I/O口或LED来实现PWM的设计 上传文件中pwm_ctrl是用PICOBLAZE实现的PWM控制文件,另外一个则是在ISE设计中的顶层文件。

    标签: SPARTAN 开发平台

    上传时间: 2016-06-27

    上传用户:z754970244

  • VIP专区-嵌入式/单片机编程源码精选合集系列(126)

    VIP专区-嵌入式/单片机编程源码精选合集系列(126)资源包含以下内容:1. 嵌入式开发讲义.2. can总线部分的基本应用.3. sysinternals公司的文件系统监视工具源代码.4. c8051f的控制直流电动机的源程序.5. proteus仿真LIUSHUIDENG的例子.6. YM12864液晶模块的驱动程序.7. 本课题要求在研究PC机键盘通信协议的基础上.8. 在FPGA的嵌入式PICOBLAZE设计中使用到的汇编器.9. 我培训时Uboot移植的一个实验手册,一步一步介绍了Uboot的移植过程..10. PCI调试笔记.11. 关于i2c模拟总线的c语言版本的c51程序.12. protell99的设计技巧.13. 6713 的pcb图 满意有用的 用protel打开.14. spi读取AVR单片机flash的程序.15. 以PE结构显示分析的PE文件.16. PIC C 入门学习,初学者用,好上手..17. ubi9021的51驱动程序.18. CH374的开发程序.19. USB下载文件,汉字LCD显示,FAT32文件系统.20. philips SERVO的参考资料.21. DCT域HDTV到SDTV转码中图像下采样算法的研究及系统实现与优化.22. 时钟芯片读写程序.23. eCognition5.0软件的操作说明书.24. 实现8通道模拟/数字转换和数字/模拟转换的例子,采用ISA总线控制逻辑..25. Cast IP PCI- Master32 User Manual.26. nios竞赛论文1 nios竞赛论文1.27. nios2(新)竞赛论文2 竞赛论文2.28. nios2竞赛论文3 竞赛论文3.29. (新)竞赛论文4 (新)竞赛论文4.30. (新)竞赛论文5 (新)竞赛论文5.31. 基于CC2430实现ZigBee通信.32. 这个是手机游戏的代码.33. sd卡底层的操作子程序.34. yaffs文件系统的移植.35. 一种检测嵌入式开发板的小软件,用着很方便..36. 本文主要介绍和分析了在集成芯片设计中几种常用的片上系统总线-CoreConnect 总线、MBA 总线、Wishbone 总线和OCP 总线.37. 高速DSP与外围器件的接口配置主要是速度匹配与接口形式.38. 利用射频卡读写基站U2270B实现对EM4100卡的读取控制。系统会自动对曼彻斯特编码进行解调.39. 电路设计里面的一些具体封装,及解释说明一些注意事项.40. 基于AVR的一个嵌入式的FAT32的代码.

    标签: HDMI

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(150)

    VIP专区-嵌入式/单片机编程源码精选合集系列(150)资源包含以下内容:1. TCRT5000光电传感器.2. 关于PLC控制的资料,内容全面,供参考用..3. 这是设计好并调试通过了的智能负载电路原理图和PCB板图.4. I2C 总线8 位远程I/O 扩展口芯片PCF8574 的原理与应用.5. 基于ADI 公司的blackfin芯片的通用中断框架程序.6. 基于ADI blackfin 的DMA 描述符方式的程序。便于你理解这种独特的dma搬送方式.7. 基于ADI blackfin的异常处理程序.8. 基于ADI BLACKFIN的jbig压缩和解压缩程序.9. max531的驱动程序,采用SPI接收方式.经验证,完全正确..10. 关于自制C8051单片机编程器的文档.11. 国内某测温系统信号处理处理板的代码.12. PIC系列单片机典型应用程序集: 17c42.13. PIC系列单片机典型应用程序集: 24lc.14. PIC系列单片机典型应用程序集: 93c46.15. PIC系列单片机典型应用程序集: 93c.16. PIC系列单片机典型应用程序集: b16tobcd.17. PIC系列单片机典型应用程序集: bcd.18. PIC系列单片机典型应用程序集: BCD2BIN.19. PICOBLAZE 处理器 SPI Flash 编程器的详细资料.20. 简易灰度调制.21. LCD ST7787 QVGA 屏 的 驱 动.22. PICC学习.23. 这些都是常用的通信设备的电路原理图.24. 调试好的LCDTV方案.25. 串口中断_niosII.c SOPC UART!.26. NIOSII I2C源程序.27. C语言规范,在写程序可以避免一些不必要的错误.28. 10分钟学会PLD,仿真.29. ARM平台下的数字音频AGC处理源代码 采用EP9302,对数字音频进行AGC处理.30. VESA and Industry Standard and Guidelines for Computer Display Monitor Timing Version 1.0.31. 基于89s52单片机.32. 基于51系列单片机的开机计数实验.33. 基于wimax中ofdm在vxworks的仿真代码.34. C8051F系列单片机测温程序.35. 5615十位DA转换芯片C的原程序.36. 缝纫机主机板测试程序; 使用嵌入式系统。.37. ATmega48-88-168_中文说明书.38. nios键盘数码管驱动芯片HD7279驱动程序.39. C+++GUI Programming with Qt4 的源码.40. Philip ISP1181芯片驱动.

    标签: 1240 pci 使用手册

    上传时间: 2013-04-15

    上传用户:eeworm