虫虫首页|资源下载|资源专辑|精品软件
登录|注册
CLass="new_part">
CLass="new_part_left">
CLass="dl_position">

CL

CLass="dl_box">
    CLass="tagsarea">
  • CLass="r"> CLass="l">Verilog的135个经典设计 实例

    【例3.1]4位全加器module adder 4(cout,sum i na,i nb,cin);output[3:0]sum output cout;input[3:0]i na,i nb;input cin;assign(cout,suml=i na +i nb+ci n;endmodule【例3.2]4位计数器module count 4(out,reset,CLk);output[3:0]out;input reset,CL k;regl 3:01 out;always@posedge CLk)

    CLass="tags">标签: verilog

    CLass="time">上传时间: 2022-06-16

    CLass="username">上传用户:canderile

  • CLass="r"> CLass="l">四套CCS 视频教程合集,新手入门适用

    CCS6.1新手入门(视频教程) ccs3.3视频教程 CCS教学视频.rar CL-CCS教程

    CLass="tags">标签: 汽车 基本技术 电工

    CLass="time">上传时间: 2013-04-15

    CLass="username">上传用户:eeworm

    CLass="pagination">
  • CLass="prev">«
  • 1
  • 2
  • 3
  • CLass="active">4
  • CLass="next disabled">»