📄 cpu_testbench.vhd
字号:
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;--use work.controller_const.all;--use work.alu_const.all;entity Test_CPU isend entity Test_CPU;architecture Test_CPU of Test_CPU is component CPU port ( clk,reset: in std_logic; portA: inout std_logic_vector(7 downto 0); portB: inout std_logic_vector(7 downto 0) ); end component; signal clk: std_logic := '0'; signal reset: std_logic; signal portA: std_logic_vector (7 downto 0); signal portB: std_logic_vector (7 downto 0); begin CPU_0: CPU port map(clk, reset, portA, portB); clk <= NOT clk after 1 ms; reset <= '0', '1' after 5 ms, '0' after 10 ms; portA <= "ZZZZZZZZ"; portB <= "ZZZZZZZZ";end architecture Test_CPU;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -