📄 differentiator.v
字号:
module differentiator(data_in,hold,clk,rst_n,data_out);
parameter DATA_WIDTH = 8;
input [DATA_WIDTH-1:0] data_in;
input hold;
input clk;
input rst_n;
output [DATA_WIDTH-1:0] data_out;
reg [DATA_WIDTH-1:0] buffer;
assign data_out = data_in-buffer;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
buffer <= 0;
else
if(hold)
buffer <= buffer;
else
buffer <= data_in;
end
endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -