📄 fftdef.vhd
字号:
library ieee; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all;----defintionpackage fftDef is -- constant Addrw : natural := 8; constant pstDw : natural := 16; constant fftRdx : natural := 4; -- subtype slvAddrt is unsigned(Addrw -1 downto 0); subtype slvBRAddrt is unsigned(Addrw -3 downto 0); --- subtype slvSct is unsigned(Addrw/2-1 downto 0);--(Addrw/2 -1 downto 0); --- --- --pipeline length of fft processor --adg->rdmen&rdcof->fft4st1->fft4st2->rotst1->rotst2->wrback --7 stage constant plsts : natural := 10; -- --get real, get imagineend package fftDef;package body fftDef isend package body fftDef;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -