虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用vhdl写的电子钟的源代码 可供初学vhdl者使用

用vhdl写的电子钟的源代码 可供初学vhdl者使用

  • 资源大小:731 K
  • 上传时间: 2017-09-07
  • 上传用户:mislrb
  • 资源积分:2 下载积分
  • 标      签: vhdl 电子钟 源代码

资 源 简 介

用vhdl写的电子钟的源代码 可供初学vhdl者使用

相 关 资 源