虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 文件名:ADC0809.vhd功能:基于VHDL语言

文件名:ADC0809.vhd功能:基于VHDL语言

  • 资源大小:2 K
  • 上传时间: 2014-01-03
  • 上传用户:hslhsl123
  • 资源积分:2 下载积分
  • 标      签: 0809 VHDL ADC vhd

资 源 简 介

文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。

相 关 资 源

您 可 能 感 兴 趣 的