虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言

CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言

  • 资源大小:72 K
  • 上传时间: 2017-02-09
  • 上传用户:IsabellaJ
  • 资源积分:2 下载积分
  • 标      签: VHDL PL_CPSK CPSK 调制

资 源 简 介

CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制

相 关 资 源

您 可 能 感 兴 趣 的