虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器

伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器

资 源 简 介

伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)

相 关 资 源

您 可 能 感 兴 趣 的