虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 基于FPGA的DDS信号发生器的简单实现。DDS(直接数字合成)是近年来迅速发展起来的一种新的频率合成方法。这种方法简单可靠、控制方便

基于FPGA的DDS信号发生器的简单实现。DDS(直接数字合成)是近年来迅速发展起来的一种新的频率合成方法。这种方法简单可靠、控制方便

  • 资源大小:10 K
  • 上传时间: 2017-02-06
  • 上传用户:jc6629402
  • 资源积分:2 下载积分
  • 标      签: DDS FPGA 信号发生器 数字

资 源 简 介

基于FPGA的DDS信号发生器的简单实现。DDS(直接数字合成)是近年来迅速发展起来的一种新的频率合成方法。这种方法简单可靠、控制方便,且具有很高的频率分辨率和转换速度,非常适合快速跳频通信的要求。

相 关 资 源

您 可 能 感 兴 趣 的