虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 此为多功能数字电子钟的vhdl代码

此为多功能数字电子钟的vhdl代码

资 源 简 介

此为多功能数字电子钟的vhdl代码,有闹钟、时间可调、计时等功能

相 关 资 源