虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > Fire&password数字系统实验

Fire&password数字系统实验

  • 资源大小:475 K
  • 上传时间: 2016-08-18
  • 上传用户:bilika
  • 资源积分:2 下载积分
  • 标      签: password Fire 数字系统 实验

资 源 简 介

Fire&password数字系统实验,实现密码锁,有报警的显示

相 关 资 源