虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 用VHDL语言描述和实现的一个交通灯控制器

用VHDL语言描述和实现的一个交通灯控制器

  • 资源大小:2 K
  • 上传时间: 2013-11-30
  • 上传用户:l991090150
  • 资源积分:2 下载积分
  • 标      签: VHDL 语言 交通灯控制器

资 源 简 介

用VHDL语言描述和实现的一个交通灯控制器,该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为4秒。

相 关 资 源