虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 37个经典的VHDL程序。有比较器、七段译码器、状态机等。

37个经典的VHDL程序。有比较器、七段译码器、状态机等。

  • 资源大小:40 K
  • 上传时间: 2016-07-13
  • 上传用户:dongjunxi126
  • 资源积分:2 下载积分
  • 标      签: VHDL 程序 比较器 状态

资 源 简 介

37个经典的VHDL程序。有比较器、七段译码器、状态机等。

相 关 资 源

您 可 能 感 兴 趣 的