虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 该源代码是实现了8051 mcu core的VHDL代码

该源代码是实现了8051 mcu core的VHDL代码

  • 资源大小:643 K
  • 上传时间: 2016-07-02
  • 上传用户:zjqkpgz
  • 资源积分:2 下载积分
  • 标      签: 8051 core VHDL mcu

资 源 简 介

该源代码是实现了8051 mcu core的VHDL代码,中断、计时等各功能全面,且包括了各部分的详细测试文件

相 关 资 源

您 可 能 感 兴 趣 的