虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 8051 MCU在nois平台上的实现代码(VHDL)

8051 MCU在nois平台上的实现代码(VHDL)

  • 资源大小:101 K
  • 上传时间: 2014-01-23
  • 上传用户:wc7707399
  • 资源积分:2 下载积分
  • 标      签: 8051 nois VHDL MCU

资 源 简 介

8051 MCU在nois平台上的实现代码(VHDL),出自Altera公司,经过严格测试核验证

相 关 资 源

您 可 能 感 兴 趣 的