虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 在maxplusII上用VHDL语言编程实现的数字基带信号的同步提取

在maxplusII上用VHDL语言编程实现的数字基带信号的同步提取

  • 资源大小:761 K
  • 上传时间: 2014-01-06
  • 上传用户:lihuitao1987
  • 资源积分:2 下载积分
  • 标      签: maxplusII VHDL 语言 编程实现

资 源 简 介

在maxplusII上用VHDL语言编程实现的数字基带信号的同步提取,是一个密码输入和修改的实例。在硬件实验箱上连线,并将程序下载到主芯片上完成。

相 关 资 源

您 可 能 感 兴 趣 的