虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > VHDL/FPGA/Verilog > 在quartus中仿真通过的移位加程序的vhdl代码

在quartus中仿真通过的移位加程序的vhdl代码

  • 资源大小:512 K
  • 上传时间: 2016-03-18
  • 上传用户:setixp
  • 资源积分:2 下载积分
  • 标      签: quartus vhdl 仿真 代码

资 源 简 介

在quartus中仿真通过的移位加程序的vhdl代码

相 关 资 源

您 可 能 感 兴 趣 的